Microsoft.CodeAnalysis.CSharp.Syntax Namespace

Classes

AccessorDeclarationSyntax
AccessorListSyntax
AliasQualifiedNameSyntax

Class which represents the syntax node for alias qualified name.

AnonymousFunctionExpressionSyntax

Provides the base class from which the classes that represent anonymous function expressions are derived.

AnonymousMethodExpressionSyntax

Class which represents the syntax node for anonymous method expression.

AnonymousObjectCreationExpressionSyntax

Class which represents the syntax node for anonymous object creation expression.

AnonymousObjectMemberDeclaratorSyntax
ArgumentListSyntax

Class which represents the syntax node for the list of arguments.

ArgumentSyntax

Class which represents the syntax node for argument.

ArrayCreationExpressionSyntax

Class which represents the syntax node for array creation expression.

ArrayRankSpecifierSyntax
ArrayTypeSyntax

Class which represents the syntax node for the array type.

ArrowExpressionClauseSyntax

The syntax for the expression body of an expression-bodied member.

AssignmentExpressionSyntax

Class which represents an expression that has an assignment operator.

AttributeArgumentListSyntax

Attribute argument list syntax.

AttributeArgumentSyntax

Attribute argument syntax.

AttributeListSyntax

Class representing one or more attributes applied to a language construct.

AttributeSyntax

Attribute syntax.

AttributeTargetSpecifierSyntax

Class representing what language construct an attribute targets.

AwaitExpressionSyntax

Class which represents the syntax node for an "await" expression.

BadDirectiveTriviaSyntax
BaseArgumentListSyntax

Provides the base class from which the classes that represent argument list syntax nodes are derived. This is an abstract class.

BaseCrefParameterListSyntax

A list of cref parameters with surrounding punctuation. Unlike regular parameters, cref parameters do not have names.

BaseExpressionColonSyntax
BaseExpressionSyntax

Class which represents the syntax node for a base expression.

BaseExpressionTypeClauseSyntax

Class which represents the syntax node for type clause in base expression.

BaseFieldDeclarationSyntax
BaseListSyntax

Base list syntax.

BaseMethodDeclarationSyntax

Base type for method declaration syntax.

BaseNamespaceDeclarationSyntax
BaseObjectCreationExpressionSyntax
BaseParameterListSyntax

Base type for parameter list syntax.

BaseParameterSyntax

Base parameter syntax.

BasePropertyDeclarationSyntax

Base type for property declaration syntax.

BaseTypeDeclarationSyntax

Base class for type declaration syntax.

BaseTypeSyntax

Provides the base class from which the classes that represent base type syntax nodes are derived. This is an abstract class.

BinaryExpressionSyntax

Class which represents an expression that has a binary operator.

BinaryPatternSyntax
BlockSyntax
BracketedArgumentListSyntax

Class which represents the syntax node for bracketed argument list.

BracketedParameterListSyntax

Parameter list syntax with surrounding brackets.

BranchingDirectiveTriviaSyntax
BreakStatementSyntax
CasePatternSwitchLabelSyntax

Represents a case label within a switch statement.

CaseSwitchLabelSyntax

Represents a case label within a switch statement.

CastExpressionSyntax

Class which represents the syntax node for cast expression.

CatchClauseSyntax
CatchDeclarationSyntax
CatchFilterClauseSyntax
CheckedExpressionSyntax

Class which represents the syntax node for Checked or Unchecked expression.

CheckedStatementSyntax
ClassDeclarationSyntax

Class type declaration syntax.

ClassOrStructConstraintSyntax

Class or struct constraint syntax.

CollectionElementSyntax
CollectionExpressionSyntax
CommonForEachStatementSyntax
CompilationUnitSyntax
ConditionalAccessExpressionSyntax

Class which represents the syntax node for conditional access expression.

ConditionalDirectiveTriviaSyntax
ConditionalExpressionSyntax

Class which represents the syntax node for conditional expression.

ConstantPatternSyntax
ConstructorConstraintSyntax

Constructor constraint syntax.

ConstructorDeclarationSyntax

Constructor declaration syntax.

ConstructorInitializerSyntax

Constructor initializer syntax.

ContinueStatementSyntax
ConversionOperatorDeclarationSyntax

Conversion operator declaration syntax.

ConversionOperatorMemberCrefSyntax

A MemberCrefSyntax specified by an implicit or explicit keyword, an operator keyword, a destination type, and an optional parameter list. For example, "implicit operator int" or "explicit operator MyType(int)".

CrefBracketedParameterListSyntax

A bracketed list of cref parameters.

CrefParameterListSyntax

A parenthesized list of cref parameters.

CrefParameterSyntax

An element of a BaseCrefParameterListSyntax. Unlike a regular parameter, a cref parameter has only an optional ref, in, out keyword, an optional readonly keyword, and a type - there is no name and there are no attributes or other modifiers.

CrefSyntax

A symbol referenced by a cref attribute (e.g. in a <see> or <seealso> documentation comment tag). For example, the M in <see cref="M" />.

DeclarationExpressionSyntax

Class which represents the syntax node for the variable declaration in an out var declaration or a deconstruction declaration.

DeclarationPatternSyntax
DefaultConstraintSyntax

Default constraint syntax.

DefaultExpressionSyntax

Class which represents the syntax node for Default expression.

DefaultSwitchLabelSyntax

Represents a default label within a switch statement.

DefineDirectiveTriviaSyntax
DelegateDeclarationSyntax

Delegate declaration syntax.

DestructorDeclarationSyntax

Destructor declaration syntax.

DirectiveTriviaSyntax
DiscardDesignationSyntax
DiscardPatternSyntax
DocumentationCommentTriviaSyntax
DoStatementSyntax
ElementAccessExpressionSyntax

Class which represents the syntax node for element access expression.

ElementBindingExpressionSyntax

Class which represents the syntax node for element binding expression.

ElifDirectiveTriviaSyntax
ElseClauseSyntax

Represents an else statement syntax.

ElseDirectiveTriviaSyntax
EmptyStatementSyntax
EndIfDirectiveTriviaSyntax
EndRegionDirectiveTriviaSyntax
EnumDeclarationSyntax

Enum type declaration syntax.

EnumMemberDeclarationSyntax
EqualsValueClauseSyntax
ErrorDirectiveTriviaSyntax
EventDeclarationSyntax
EventFieldDeclarationSyntax
ExplicitInterfaceSpecifierSyntax
ExpressionColonSyntax
ExpressionElementSyntax
ExpressionOrPatternSyntax
ExpressionStatementSyntax
ExpressionSyntax

Provides the base class from which the classes that represent expression syntax nodes are derived. This is an abstract class.

ExternAliasDirectiveSyntax

Represents an ExternAlias directive syntax, e.g. "extern alias MyAlias;" with specifying "/r:MyAlias=SomeAssembly.dll " on the compiler command line.

FieldDeclarationSyntax
FileScopedNamespaceDeclarationSyntax
FinallyClauseSyntax
FixedStatementSyntax
ForEachStatementSyntax
ForEachVariableStatementSyntax
ForStatementSyntax
FromClauseSyntax
FunctionPointerCallingConventionSyntax

Function pointer calling convention syntax.

FunctionPointerParameterListSyntax

Function pointer parameter list syntax.

FunctionPointerParameterSyntax

Parameter syntax.

FunctionPointerTypeSyntax
FunctionPointerUnmanagedCallingConventionListSyntax

Function pointer calling convention syntax.

FunctionPointerUnmanagedCallingConventionSyntax

Individual function pointer unmanaged calling convention.

GenericNameSyntax

Class which represents the syntax node for generic name.

GlobalStatementSyntax
GotoStatementSyntax

Represents a goto statement syntax

GroupClauseSyntax
IdentifierNameSyntax

Class which represents the syntax node for identifier name.

IfDirectiveTriviaSyntax
IfStatementSyntax

Represents an if statement syntax.

ImplicitArrayCreationExpressionSyntax

Class which represents the syntax node for implicit array creation expression.

ImplicitElementAccessSyntax

Class which represents the syntax node for implicit element access expression.

ImplicitObjectCreationExpressionSyntax

Class which represents the syntax node for implicit object creation expression.

ImplicitStackAllocArrayCreationExpressionSyntax

Class which represents the syntax node for implicit stackalloc array creation expression.

IncompleteMemberSyntax
IndexerDeclarationSyntax
IndexerMemberCrefSyntax

A MemberCrefSyntax specified by a this keyword and an optional parameter list. For example, "this" or "this[int]".

InitializerExpressionSyntax

Class which represents the syntax node for initializer expression.

InstanceExpressionSyntax

Provides the base class from which the classes that represent instance expression syntax nodes are derived. This is an abstract class.

InterfaceDeclarationSyntax

Interface type declaration syntax.

InterpolatedStringContentSyntax
InterpolatedStringExpressionSyntax
InterpolatedStringTextSyntax
InterpolationAlignmentClauseSyntax
InterpolationFormatClauseSyntax
InterpolationSyntax
InvocationExpressionSyntax

Class which represents the syntax node for invocation expression.

IsPatternExpressionSyntax

Class which represents a simple pattern-matching expression using the "is" keyword.

JoinClauseSyntax
JoinIntoClauseSyntax
LabeledStatementSyntax

Represents a labeled statement syntax.

LambdaExpressionSyntax

Provides the base class from which the classes that represent lambda expressions are derived.

LetClauseSyntax
LineDirectivePositionSyntax
LineDirectiveTriviaSyntax
LineOrSpanDirectiveTriviaSyntax
LineSpanDirectiveTriviaSyntax
ListPatternSyntax
LiteralExpressionSyntax

Class which represents the syntax node for a literal expression.

LoadDirectiveTriviaSyntax
LocalDeclarationStatementSyntax
LocalFunctionStatementSyntax
LockStatementSyntax
MakeRefExpressionSyntax

Class which represents the syntax node for MakeRef expression.

MemberAccessExpressionSyntax

Class which represents the syntax node for member access expression.

MemberBindingExpressionSyntax

Class which represents the syntax node for member binding expression.

MemberCrefSyntax

The unqualified part of a CrefSyntax. For example, "ToString()" in "object.ToString()". NOTE: TypeCrefSyntax, QualifiedCrefSyntax, and MemberCrefSyntax overlap. The syntax in a TypeCrefSyntax will always be bound as type, so it's safer to use QualifiedCrefSyntax or MemberCrefSyntax if the symbol might be a non-type member.

MemberDeclarationSyntax

Member declaration syntax.

MethodDeclarationSyntax

Method declaration syntax.

NameColonSyntax

Class which represents the syntax node for name colon syntax.

NameEqualsSyntax

Class representing an identifier name followed by an equals token.

NameMemberCrefSyntax

A MemberCrefSyntax specified by a name (an identifier, predefined type keyword, or an alias-qualified name, with an optional type parameter list) and an optional parameter list. For example, "M", "M<T>" or "M(int)". Also, "A::B()" or "string()".

NamespaceDeclarationSyntax
NameSyntax

Provides the base class from which the classes that represent name syntax nodes are derived. This is an abstract class.

NullableDirectiveTriviaSyntax
NullableTypeSyntax

Class which represents the syntax node for a nullable type.

ObjectCreationExpressionSyntax

Class which represents the syntax node for object creation expression.

OmittedArraySizeExpressionSyntax

Class which represents a placeholder in an array size list.

OmittedTypeArgumentSyntax

Class which represents a placeholder in the type argument list of an unbound generic type.

OperatorDeclarationSyntax

Operator declaration syntax.

OperatorMemberCrefSyntax

A MemberCrefSyntax specified by an operator keyword, an operator symbol and an optional parameter list. For example, "operator +" or "operator -[int]". NOTE: the operator must be overloadable.

OrderByClauseSyntax
OrderingSyntax
ParameterListSyntax

Parameter list syntax.

ParameterSyntax

Parameter syntax.

ParenthesizedExpressionSyntax

Class which represents the syntax node for parenthesized expression.

ParenthesizedLambdaExpressionSyntax

Class which represents the syntax node for parenthesized lambda expression.

ParenthesizedPatternSyntax
ParenthesizedVariableDesignationSyntax
PatternSyntax
PointerTypeSyntax

Class which represents the syntax node for pointer type.

PositionalPatternClauseSyntax
PostfixUnaryExpressionSyntax

Class which represents the syntax node for postfix unary expression.

PragmaChecksumDirectiveTriviaSyntax
PragmaWarningDirectiveTriviaSyntax
PredefinedTypeSyntax

Class which represents the syntax node for predefined types.

PrefixUnaryExpressionSyntax

Class which represents the syntax node for prefix unary expression.

PrimaryConstructorBaseTypeSyntax
PropertyDeclarationSyntax
PropertyPatternClauseSyntax
QualifiedCrefSyntax

A symbol reference to a type or non-type member that is qualified by an enclosing type or namespace. For example, cref="System.String.ToString()". NOTE: TypeCrefSyntax, QualifiedCrefSyntax, and MemberCrefSyntax overlap. The syntax in a TypeCrefSyntax will always be bound as type, so it's safer to use QualifiedCrefSyntax or MemberCrefSyntax if the symbol might be a non-type member.

QualifiedNameSyntax

Class which represents the syntax node for qualified name.

QueryBodySyntax
QueryClauseSyntax
QueryContinuationSyntax
QueryExpressionSyntax
RangeExpressionSyntax

Class which represents the syntax node for a range expression.

RecordDeclarationSyntax
RecursivePatternSyntax
ReferenceDirectiveTriviaSyntax
RefExpressionSyntax
RefTypeExpressionSyntax

Class which represents the syntax node for RefType expression.

RefTypeSyntax

The ref modifier of a method's return value or a local.

RefValueExpressionSyntax

Class which represents the syntax node for RefValue expression.

RegionDirectiveTriviaSyntax
RelationalPatternSyntax
ReturnStatementSyntax
ScopedTypeSyntax

The 'scoped' modifier of a local.

SelectClauseSyntax
SelectOrGroupClauseSyntax
ShebangDirectiveTriviaSyntax
SimpleBaseTypeSyntax
SimpleLambdaExpressionSyntax

Class which represents the syntax node for a simple lambda expression.

SimpleNameSyntax

Provides the base class from which the classes that represent simple name syntax nodes are derived. This is an abstract class.

SingleVariableDesignationSyntax
SizeOfExpressionSyntax

Class which represents the syntax node for SizeOf expression.

SkippedTokensTriviaSyntax
SlicePatternSyntax
SpreadElementSyntax
StackAllocArrayCreationExpressionSyntax

Class which represents the syntax node for stackalloc array creation expression.

StatementSyntax

Represents the base class for all statements syntax classes.

StructDeclarationSyntax

Struct type declaration syntax.

StructuredTriviaSyntax

It's a non terminal Trivia CSharpSyntaxNode that has a tree underneath it.

SubpatternSyntax
SwitchExpressionArmSyntax
SwitchExpressionSyntax
SwitchLabelSyntax

Represents a switch label within a switch statement.

SwitchSectionSyntax

Represents a switch section syntax of a switch statement.

SwitchStatementSyntax

Represents a switch statement syntax.

ThisExpressionSyntax

Class which represents the syntax node for a this expression.

ThrowExpressionSyntax
ThrowStatementSyntax
TryStatementSyntax
TupleElementSyntax

Tuple type element.

TupleExpressionSyntax

Class which represents the syntax node for tuple expression.

TupleTypeSyntax

Class which represents the syntax node for tuple type.

TypeArgumentListSyntax

Class which represents the syntax node for type argument list.

TypeConstraintSyntax

Type constraint syntax.

TypeCrefSyntax

A symbol reference that definitely refers to a type. For example, "int", "A::B", "A.B", "A<T>", but not "M()" (has parameter list) or "this" (indexer). NOTE: TypeCrefSyntax, QualifiedCrefSyntax, and MemberCrefSyntax overlap. The syntax in a TypeCrefSyntax will always be bound as type, so it's safer to use QualifiedCrefSyntax or MemberCrefSyntax if the symbol might be a non-type member.

TypeDeclarationSyntax

Base class for type declaration syntax (class, struct, interface, record).

TypeOfExpressionSyntax

Class which represents the syntax node for TypeOf expression.

TypeParameterConstraintClauseSyntax

Type parameter constraint clause.

TypeParameterConstraintSyntax

Base type for type parameter constraint syntax.

TypeParameterListSyntax

Type parameter list syntax.

TypeParameterSyntax

Type parameter syntax.

TypePatternSyntax
TypeSyntax

Provides the base class from which the classes that represent type syntax nodes are derived. This is an abstract class.

UnaryPatternSyntax
UndefDirectiveTriviaSyntax
UnsafeStatementSyntax
UsingDirectiveSyntax
UsingStatementSyntax
VariableDeclarationSyntax
VariableDeclaratorSyntax
VariableDesignationSyntax
VarPatternSyntax
WarningDirectiveTriviaSyntax
WhenClauseSyntax
WhereClauseSyntax
WhileStatementSyntax
WithExpressionSyntax
XmlAttributeSyntax
XmlCDataSectionSyntax
XmlCommentSyntax
XmlCrefAttributeSyntax
XmlElementEndTagSyntax
XmlElementStartTagSyntax
XmlElementSyntax
XmlEmptyElementSyntax
XmlNameAttributeSyntax
XmlNameSyntax
XmlNodeSyntax
XmlPrefixSyntax
XmlProcessingInstructionSyntax
XmlTextAttributeSyntax
XmlTextSyntax
YieldStatementSyntax

Enums

XmlNameAttributeElementKind