Microsoft.CodeAnalysis.VisualBasic.Syntax Namespace

Classes

AccessorBlockSyntax

Represents an accessor block member declaration: A declaration that has a beginning declaration, a body of executable statements and an end statement. Examples include property accessors and custom event accessors.

AccessorStatementSyntax

Represents a Get or Set accessor on a property declaration or an AddHandler, RemoveHandler or RaiseEvent accessor on a custom event declaration. The Kind of the node determines what kind of accessor this is. This statement is always the Begin of a BlockNode, and the body of the accessor is the Body of that node.

AddRemoveHandlerStatementSyntax

Represents an AddHandler or RemoveHandler statement. The Kind property determines which one.

AggregateClauseSyntax

Represents an Aggregate query operator.

AggregationRangeVariableSyntax

Describes a single variable of the form "[x [As Type] =] aggregation-function" for use in the Into clause of Aggregate or Group By or Group Join query operators.

AggregationSyntax

Represents aggregation in aggregation range variable declaration of a Group By, Group Join or Aggregate query operator.

AnonymousObjectCreationExpressionSyntax

Represents a New expression that create an object of anonymous type.

ArgumentListSyntax

Represents a parenthesized argument list.

ArgumentSyntax

Base class for the possible kinds of arguments that can appear in an argument list.

ArrayCreationExpressionSyntax

Represents an expression that creates a new array.

ArrayRankSpecifierSyntax

Represents a modifier that describes an array type, without bounds, such as "()" or "(,)".

ArrayTypeSyntax

Represents an array type, such as "A() or "A(,)", without bounds specified for the array.

AsClauseSyntax

Abstract node class that represents the different kinds of "As {type-name}" clauses in a declaration: simple "As" clauses and "As New" clauses. The type has optional attributes associated with it, although attributes are not permitted in all possible places where this node occurs.

AsNewClauseSyntax

Represents an "As New {type-name} [arguments] [initializers]" clause in a declaration. The type has optional attributes associated with it, although attributes are not permitted in many places where this node occurs (they are permitted, for example, on automatically implemented properties.)

AssignmentStatementSyntax

Represents a simple, compound, or Mid assignment statement. Which one can be determined by checking the Kind.

AttributeListSyntax

Represents a group of attributes within "<" and ">" brackets.

AttributesStatementSyntax

Represents a file-level attribute, in which the attributes have no other syntactic element they are attached to.

AttributeSyntax

Represents a single attribute declaration within an attribute list.

AttributeTargetSyntax

Represents a single attribute declaration within an attribute list.

AwaitExpressionSyntax

Represents an Await expression.

BadDirectiveTriviaSyntax

Represents an unrecognized pre-processing directive. This occurs when the parser encounters a hash '#' token at the beginning of a physical line but does recognize the text that follows as a valid Visual Basic pre-processing directive.

BaseXmlAttributeSyntax

Represents an XML attribute in an XML literal expression.

BinaryConditionalExpressionSyntax

Represents a conditional expression, If(condition, true-expr, false-expr) or If(expr, nothing-expr).

BinaryExpressionSyntax

Represents a binary operator. The Kind property classifies the operators into similar kind of operators (arithmetic, relational, logical or string); the exact operation being performed is determined by the Operator property.

CallStatementSyntax

Represent a call statement (also known as a invocation statement).

CaseBlockSyntax

Represents a case statement and its subsequent block.

CaseClauseSyntax

Represents a single clause in a case statement. An abstract node that is the parent of different kinds of Case clauses.

CaseStatementSyntax

Represents a Case or Case Else statement. This statement is always the Begin of a CaseBlock. If this is a Case Else statement, the Kind=CaseElse, otherwise the Kind=Case.

CastExpressionSyntax

Represents a CType, DirectCast or TryCast conversion expression. The Kind property determines which kind of cast it is.

CatchBlockSyntax

Represents a "Catch ..." block.

CatchFilterClauseSyntax

Represents the "When ..." clause of a "Catch" statement.

CatchStatementSyntax

Represents the "Catch ... When ..." statement that begins a "Catch" block.

ClassBlockSyntax

Represents a declaration of a Class its contents and the End statement that ends it.

ClassStatementSyntax

Represents the beginning statement of a Class declaration. This node always appears as the Begin of a TypeBlock with Kind=ClassDeclarationBlock.

CollectionInitializerSyntax

Represents an expression that creates a new array without naming the element type.

CollectionRangeVariableSyntax

Describes a single variable of the form "x [As Type] In expression" for use in query expressions.

CompilationUnitSyntax

Represents an entire source file of VB code.

ConditionalAccessExpressionSyntax

ExpressionSyntax node representing the object conditionally accessed.

ConstDirectiveTriviaSyntax

Represents a #Const pre-processing constant declaration appearing in source.

ConstraintSyntax

An abstract node class that is the parent of classes that describe type parameter constraints.

ConstructorBlockSyntax

Represents a constructor block declaration: A declaration that has a beginning declaration, a body of executable statements and an end statement.

ContinueStatementSyntax

Represents a "Continue (block)" statement. THe kind of block referenced can be determined by examining the Kind.

CrefOperatorReferenceSyntax
CrefReferenceSyntax

Syntax node class that represents a value of 'cref' attribute inside documentation comment trivia.

CrefSignaturePartSyntax
CrefSignatureSyntax

Represents a parenthesized list of argument types for a signature inside CrefReferenceSyntax syntax.

CTypeExpressionSyntax
DeclarationStatementSyntax

The base class for all nodes that represent statements that declare options, imports, members, etc.

DeclareStatementSyntax

A Declare statement that declares an external DLL method.

DelegateStatementSyntax

A statement that declares a delegate type.

DirectCastExpressionSyntax
DirectiveTriviaSyntax

Represents a pre-processing directive (such as #If, #Const or #Region) appearing in source.

DisableWarningDirectiveTriviaSyntax

Represents #Disable Warning pre-processing directive appearing in source.

DistinctClauseSyntax

Represents the "Distinct" query operator.

DocumentationCommentTriviaSyntax

Represents a documentation comment e.g. ''' <Summary> appearing in source.

DoLoopBlockSyntax

Represents a Do-Loop block. The Kind property can be used to determine if this is a Do While, Do Until, Do Loop While, Do Loop Until, or infinite Do Loop.

DoStatementSyntax

The Do statement that begins a Do-Loop block.

ElseBlockSyntax

Represents an "Else ..." block.

ElseCaseClauseSyntax

The "Else" part in a Case Else statement.

ElseDirectiveTriviaSyntax

Represents an #Else pre-processing directive appearing in source.

ElseIfBlockSyntax

Represents an "ElseIf ... Then ..." block.

ElseIfStatementSyntax

Represents the "ElseIf ... Then" statement that begins an "ElseIf" block.

ElseStatementSyntax

Represents the "Else" statement that begins an "Else" block.

EmptyStatementSyntax

A class to represent an empty statement. This can occur when a colon is on a line without anything else.

EnableWarningDirectiveTriviaSyntax

Represents #Enable Warning pre-processing directive appearing in source.

EndBlockStatementSyntax

Represents an "End XXX" statement, where XXX is a single keyword.

EndExternalSourceDirectiveTriviaSyntax

Represents an #End ExternalSource pre-processing directive appearing in source.

EndIfDirectiveTriviaSyntax

Represents an #End If pre-processing directive appearing in source.

EndRegionDirectiveTriviaSyntax

Represents an #End Region directive appearing in source.

EnumBlockSyntax

Represents a declaration of an Enum, its contents and the End Enum statement that ends it.

EnumMemberDeclarationSyntax

Represents a name and value in an EnumDeclarationBlock.

EnumStatementSyntax

Represents the beginning statement of an Enum declaration. This node always appears as the Begin of an EnumBlock with Kind=EnumDeclarationBlock.

EqualsValueSyntax

Represents an "= initializer" clause in a declaration for a variable, parameter or automatic property.

EraseStatementSyntax

Represents an "Erase" statement.

ErrorStatementSyntax

Represents the "Error" statement.

EventBlockSyntax

Represents a custom event declaration: A declaration that has a beginning event declaration, some accessor blocks and an end statement.

EventContainerSyntax

Represents container of an event in a Handles clause item.

EventStatementSyntax

A statement that declares an event. If the event being declared is a custom event, this statement will be the Begin of a PropertyOrEventBlock, and the accessors will be part of the Accessors of that node.

ExecutableStatementSyntax

The base class for all nodes that represent executable statements.

ExitStatementSyntax

An exit statement. The kind of block being exited can be found by examining the Kind.

ExpressionRangeVariableSyntax

Describes a single variable of the form "[x [As Type] =] expression" for use in query expressions.

ExpressionStatementSyntax

Represent an expression in a statement context. This may only be a invocation or await expression in standard code but may be any expression in VB Interactive code.

ExpressionSyntax

An abstract base class for all node classes that define expressions.

ExternalChecksumDirectiveTriviaSyntax

Represents an #ExternalChecksum pre-processing directive appearing in source.

ExternalSourceDirectiveTriviaSyntax

Represents the beginning of a #ExternalSource pre-processing directive appearing in source.

FieldDeclarationSyntax

Represents the declaration of one or more variables or constants, either as local variables or as class/structure members. In the case of a constant, it is represented by having "Const" in the Modifiers (although technically "Const" is not a modifier, it is represented as one in the parse trees.)

FieldInitializerSyntax

Abstract class that represent a single field initializer used in a "With {...}" field initializer list.

FinallyBlockSyntax

Represents a "Finally ..." block of a "Try" block.

FinallyStatementSyntax

Represents the "Finally" statement that begins a "Finally" block.

ForBlockSyntax

Represents a For block, including the introducing statement, the body and the "Next" (which can be omitted if a containing For has a Next with multiple variables).

ForEachBlockSyntax

Represents a For Each block, including the introducing statement, the body and the "Next" (which can be omitted if a containing For has a Next with multiple variables).

ForEachStatementSyntax

The For Each statement that begins a For Each-Next block. This statement always occurs as the Begin of a ForBlock, and the body of the For Each-Next is the Body of that ForBlock. Most of the time, the End of that ForBlock is the corresponding Next statement. However, multiple nested For statements are ended by a single Next statement with multiple variables, then the inner For statements will have End set to Nothing, and the Next statement is the End of the outermost For statement that is being ended.

ForOrForEachBlockSyntax

Represents a For or For Each block.

ForOrForEachStatementSyntax

Represents a For or For Each statement.

ForStatementSyntax

The For statement that begins a For-Next block. This statement always occurs as the Begin of a ForBlock. Most of the time, the End of that ForBlock is the corresponding Next statement. However, multiple nested For statements are ended by a single Next statement with multiple variables, then the inner For statements will have End set to Nothing, and the Next statement is the End of the outermost For statement that is being ended.

ForStepClauseSyntax

The Step clause in a For Statement.

FromClauseSyntax

Represents a "From" query operator. If this is the beginning of a query, the Source will be Nothing. Otherwise, the Source will be the part of the query to the left of the From.

FunctionAggregationSyntax

Represents an invocation of an Aggregation function in the aggregation range variable declaration of a Group By, Group Join or Aggregate query operator.

GenericNameSyntax

Represents a simple type name with one or more generic arguments, such as "X(Of Y, Z).

GetTypeExpressionSyntax

Represents a GetType expression.

GetXmlNamespaceExpressionSyntax

Represents a GetXmlNamespace expression.

GlobalNameSyntax

Represents a name in the global namespace.

GoToStatementSyntax

Represents a "GoTo" statement.

GroupAggregationSyntax

Represents the use of "Group" as the aggregation function in the in the aggregation range variable declaration of a Group By or Group Join query operator.

GroupByClauseSyntax

Represents the "Group By" query operator.

GroupJoinClauseSyntax

Represents the "Group Join" query operator.

HandlesClauseItemSyntax

Represents a single handled event in a "Handles ..." clause.

HandlesClauseSyntax

Represents the "Handles ..." clause on a method declaration that describes which events this method handles.

IdentifierNameSyntax

Represents a type name consisting of a single identifier (which might include brackets or a type character).

IfDirectiveTriviaSyntax

Represents the beginning of an #If pre-processing directive appearing in source.

IfStatementSyntax

Represents the "If ... Then" statement that begins a multi-line "If" block.

ImplementsClauseSyntax

Represents the "Implements ..." clause on a type member, which describes which interface members this member implements.

ImplementsStatementSyntax

Represents an Implements statement in a Class or Structure.

ImportAliasClauseSyntax

Represents an alias identifier followed by an "=" token in an Imports clause.

ImportsClauseSyntax

The base class for the possible clauses of an Imports statement.

ImportsStatementSyntax

Represents an Imports statement, which has one or more imports clauses.

IncompleteMemberSyntax

Represents the beginning of a declaration. However, not enough syntax is detected to classify this as a field, method, property or event. This is node always represents a syntax error.

InferredFieldInitializerSyntax

Represent a field initializer in a With {...} initializer where the field name is inferred from the initializer expression.

InheritsOrImplementsStatementSyntax

Represents an Inherits or Implements statement in a Class, Structure or Interface.

InheritsStatementSyntax

Represents an Inherits statement in a Class, Structure or Interface.

InstanceExpressionSyntax

Identifies one of the special instances "Me", "MyClass" or "MyBase". The Kind property identifies which.

InterfaceBlockSyntax

Represents a declaration of a Interface, its contents and the End statement that ends it.

InterfaceStatementSyntax

Represents the beginning statement of a Interface declaration. This node always appears as the Begin of a TypeBlock with Kind=InterfaceDeclarationBlock.

InterpolatedStringContentSyntax

Represents either text content or an interpolation.

InterpolatedStringExpressionSyntax

Represents an interpolated string expression.

InterpolatedStringTextSyntax

Represents literal text content in an interpolated string.

InterpolationAlignmentClauseSyntax

Represents an alignment clause ',alignment' of an interpolated string embedded expression.

InterpolationFormatClauseSyntax

Represents a format string clause ':formatString' of an interpolated string embedded expression.

InterpolationSyntax

Represents an interpolation of an expression in an interpolated string expression e.g. '{expression[,alignment][:formatString]}'.

InvocationExpressionSyntax

Represents an invocation expression consisting of an invocation target and an optional argument list or an array, parameterized property or object default property index.

JoinClauseSyntax

Represents a Join or a Group Join query operator.

JoinConditionSyntax

Represents the "expression Equals expression" condition in a Join.

KeywordEventContainerSyntax

Represents event container specified through special keywords "Me", "MyBase" or "MyClass"..

LabelStatementSyntax

Represents a label statement.

LabelSyntax

A label for a GoTo, Resume, or On Error statement. An identifier, line number, or next keyword.

LambdaExpressionSyntax

Represents a lambda expression, either single line or multi-line.

LambdaHeaderSyntax

Represents the header part of a lambda expression

LetClauseSyntax

Represents a "Let" query operator.

LiteralExpressionSyntax

Represents a literal. The kind of literal is determined by the Kind property: IntegerLiteral, CharacterLiteral, BooleanLiteral, DecimalLiteral, FloatingLiteral, DateLiteral or StringLiteral. The value of the literal can be determined by casting the associated Token to the correct type and getting the value from the token.

LocalDeclarationStatementSyntax

Represents the declaration of one or more local variables or constants.

LoopStatementSyntax

The Loop statement that begins a Do-Loop block.

MeExpressionSyntax

Identifies the special instance "Me"

MemberAccessExpressionSyntax

Represents member access (.name) or dictionary access (!name). The Kind property determines which kind of access.

MethodBaseSyntax

An abstract node class that is the parent for declarations that are "method-like"; i.e., that have a parameter list and return type. This includes methods, constructors, properties, events, operators, declares, delegates, property accessors and custom event accessors.

MethodBlockBaseSyntax

Represents a block member declaration: A declaration that has a beginning declaration, a body of executable statements and an end statement. Examples include methods, constructors, operators, property accessors and custom event accessors.

MethodBlockSyntax

Represents a Function or Sub block declaration: A declaration that has a beginning declaration, a body of executable statements and an end statement.

MethodStatementSyntax

The statement that declares a Sub or Function. If this method has a body, this statement will be the Begin of a BlockStatement with Kind=MethodDeclarationBlock, and the body of the method will be the Body of that BlockStatement.

MidExpressionSyntax

Represents a left-hand side of a MidAssignment statement.

ModifiedIdentifierSyntax

Represents an identifier with optional "?" or "()" or "(,,,)" modifiers, as used in parameter declarations and variable declarations.

ModuleBlockSyntax

Represents a declaration of Module, its contents and the End statement that ends it.

ModuleStatementSyntax

Represents the beginning statement of a Module declaration. This node always appears as the Begin of a TypeBlock with Kind=ModuleDeclarationBlock.

MultiLineIfBlockSyntax

Represents a multi-line "If ... Then ... ElseIf ... Then ... Else ... End If" block.

MultiLineLambdaExpressionSyntax

Represents a multi-line lambda expression.

MyBaseExpressionSyntax

Identifies the special instance "MyBase"

MyClassExpressionSyntax

Identifies the special instance "MyClass"

NameColonEqualsSyntax

Represents an identifier name followed by a ":=" token in a named argument.

NamedFieldInitializerSyntax

Represent a named field initializer in a With {...} initializer, such as ".x = expr".

NamedTupleElementSyntax

Represents a single declaration of a tuple element supplying element name and optionally a type.

NameOfExpressionSyntax

Represents a NameOf expression.

NamespaceBlockSyntax

Represents a Namespace statement, its contents and the End Namespace statement.

NamespaceStatementSyntax

Represents the beginning statement of a namespace declaration. This node always appears as the Begin of a BlockStatement with Kind=NamespaceBlock.

NameSyntax

Abstract node class that represents a name, possibly include generic arguments and qualified names.

NewExpressionSyntax

Base class for object, array and anonymous object creation expressions

NextStatementSyntax

The Next statement that ends a For-Next or For Each-Next block. This statement always occurs as the End of a ForBlock (with Kind=ForBlock or ForEachBlock), and the body of the For-Next is the Body of that ForBlock. The Begin of that ForBlock has the corresponding For or For Each statement.

NullableTypeSyntax

A type name that represents a nullable type, such as "Integer?".

ObjectCollectionInitializerSyntax

Represents a "From {...} clause used to initialize a new collection object's elements.

ObjectCreationExpressionSyntax

Represents a New expression that creates a new non-array object, possibly with a "With" or "From" clause.

ObjectCreationInitializerSyntax

An abstract node class that represents a "With" or "From" clause used to initialize a new object.

ObjectMemberInitializerSyntax

Represents a "With {...} clause used to initialize a new object's members.

OmittedArgumentSyntax

Represents an omitted argument in an argument list. An omitted argument is not considered a syntax error but a valid case when no argument is required.

OnErrorGoToStatementSyntax

Represents an OnError Goto statement.

OnErrorResumeNextStatementSyntax

Represents an OnError Resume Next statement.

OperatorBlockSyntax

Represents an Operator block member declaration: A declaration that has a beginning declaration, a body of executable statements and an end statement.

OperatorStatementSyntax

A statement that declares an operator. If this operator has a body, this statement will be the Begin of a BlockStatement with Kind=MethodDeclarationBlock, and the body of the method will be the Body of that BlockStatement.

OptionStatementSyntax

Represents an Option statement, such as "Option Strict On".

OrderByClauseSyntax

Represents the "Order By" query operator.

OrderingSyntax

An expression to order by, plus an optional ordering. The Kind indicates whether to order in ascending or descending order.

ParameterListSyntax

Represents the parameter list in a method declaration.

ParameterSyntax

Represent a parameter to a method, property, constructor, etc.

ParenthesizedExpressionSyntax

Represents a parenthesized expression.

PartitionClauseSyntax

Represents a "Skip" or "Take" query operator. The Kind property tells which.

PartitionWhileClauseSyntax

Represents a "Skip While" or "Take While" query operator. The Kind property tells which.

PredefinedCastExpressionSyntax

Represents a cast to a pre-defined type using a pre-defined cast expression, such as CInt or CLng.

PredefinedTypeSyntax

Represents an occurrence of a Visual Basic built-in type such as Integer or String in source code.

PrintStatementSyntax

Represent a "? expression" "Print" statement in VB Interactive code.

PropertyBlockSyntax

Represents a block property declaration: A declaration that has a beginning declaration, some get or set accessor blocks and an end statement.

PropertyStatementSyntax

Statement that declares a property. If this property has accessors declared, this statement will be the Begin of a BlockNode, and the accessors will be the Body of that node. Auto properties are property declarations without a PropertyBlock.

QualifiedCrefOperatorReferenceSyntax
QualifiedNameSyntax

Represents a qualified type name, for example X.Y or X(Of Z).Y.

QueryClauseSyntax

This is a base class for all query operators.

QueryExpressionSyntax

This class represents a query expression. A query expression is composed of one or more query operators in a row. The first query operator must be a From or Aggregate.

RaiseEventStatementSyntax

Represent a RaiseEvent statement.

RangeArgumentSyntax

Represents a range argument, such as "0 to 5", used in array bounds. The "Value" property represents the upper bound of the range.

RangeCaseClauseSyntax

Represents a range "expression To expression" in a Case.

RedimClauseSyntax

Represents a ReDim statement clause.

ReDimStatementSyntax

Represents a ReDim statement.

ReferenceDirectiveTriviaSyntax

Represents an #r directive appearing in scripts.

RegionDirectiveTriviaSyntax

Represents the beginning of a #Region directive appearing in source.

RelationalCaseClauseSyntax

Represents a relation clause in a Case statement, such as "Is > expression".

ResumeStatementSyntax

Represents a "Resume" statement. The Kind property can be used to determine if this is a "Resume", "Resume Next" or "Resume label" statement.

ReturnStatementSyntax

Represents a "Return" statement.

SelectBlockSyntax

Represents a Select Case block, including the Select Case that begins it, the contains Case blocks and the End Select.

SelectClauseSyntax

Represents the "Select" query operator.

SelectStatementSyntax

Represents a Select Case statement. This statement always occurs as the Begin of a SelectBlock.

SimpleArgumentSyntax

Represents an argument that is just an optional argument name and an expression.

SimpleAsClauseSyntax

Represents an "As {type-name}" clause that does not have an initializer or "New". The type has optional attributes associated with it, although attributes are not permitted in all possible places where this node occurs.

SimpleCaseClauseSyntax

Represents a single value in a Case.

SimpleImportsClauseSyntax

Represents the clause of an Imports statement that imports all members of a type or namespace or aliases a type or namespace.

SimpleJoinClauseSyntax

Represents a Join query operator.

SimpleNameSyntax

Abstract node class that represents a name, possibly include generic arguments.

SingleLineElseClauseSyntax

Represents the "Else ..." clause of a single-line "If" statement.

SingleLineIfStatementSyntax

Represents a single-line "If ... Then ... Else ..." statement.

SingleLineLambdaExpressionSyntax

Represents a single line lambda expression.

SkippedTokensTriviaSyntax

Represents tokens that were skipped by the parser as part of error recovery, and thus are not part of any syntactic structure.

SpecialConstraintSyntax

One of the special type parameter constraints: New, Class or Structure. Which kind of special constraint it is can be obtained from the Kind property and is one of: NewConstraint, ReferenceConstraint or ValueConstraint.

StatementSyntax

The base class for all nodes that represent statements. This includes both declaration statements, such as class declarations as well as executable statements.

StopOrEndStatementSyntax

Represents a "Stop" or "End" statement. The Kind can be used to determine which kind of statement this is.

StructureBlockSyntax

Represents a declaration of a Structure, its contents and the End statement that ends it.

StructuredTriviaSyntax

Abstract class that represent structured trivia.

StructureStatementSyntax

Represents the beginning statement of a Structure declaration. This node always appears as the Begin of a TypeBlock with Kind=StructureDeclarationBlock.

SubNewStatementSyntax

A statement that declares a constructor. This statement will be the Begin of a BlockStatement with Kind=MethodDeclarationBlock, and the body of the method will be the Body of that BlockStatement.

SyncLockBlockSyntax

Represents a entire SyncLock...End SyncLock block, including the SyncLock statement, the enclosed statements, and the End SyncLock statement.

SyncLockStatementSyntax

Represents the "SyncLock" statement. This statement always occurs as the Begin of a SyncLockBlock.

SyntaxNodeRemover
TernaryConditionalExpressionSyntax

Represents a conditional expression, If(condition, true-expr, false-expr) or If(expr, nothing-expr).

ThrowStatementSyntax

Represents a Throw statement.

TryBlockSyntax

Represents a "Try ... Catch ... Finally ... End Try" block.

TryCastExpressionSyntax
TryStatementSyntax

Represents the "Try" statement that begins a "Try" block.

TupleElementSyntax

Represents a single declaration of a tuple element.

TupleExpressionSyntax

Represents a tuple literal expression.

TupleTypeSyntax

Represents a tuple type expression.

TypeArgumentListSyntax

Represents a parenthesized list of generic type arguments.

TypeBlockSyntax

Represents a declaration of a Class, Interface, Structure, Module, its contents and the End statement that ends it.

TypeConstraintSyntax

Represents a type parameter constraint that is a type.

TypedTupleElementSyntax

Represents a single declaration of a tuple element supplying only the type.

TypeOfExpressionSyntax

Represents a TypeOf...Is or IsNot expression.

TypeParameterConstraintClauseSyntax

An abstract node class that is the parent of classes that describe type parameter constraint clauses.

TypeParameterListSyntax

Represents the type parameter list in a declaration.

TypeParameterMultipleConstraintClauseSyntax

One of the type parameter constraints clauses. This represents a constraint clause in the form of "As { Constraints }".

TypeParameterSingleConstraintClauseSyntax

One of the type parameter constraints clauses. This represents a constraint clause in the form of "As Constraint".

TypeParameterSyntax

Represents a type parameter on a generic type declaration.

TypeStatementSyntax

Abstract class for the beginning statement of a Module, Class, Interface or Structure declaration. This node always appears as the Begin of a TypeBlock with Kind=ModuleDeclarationBlock, ClassDeclarationBlock, InterfaceDeclarationBlock or StructureDeclarationBlock.

TypeSyntax

Abstract node class that represents the textual description of a type, possibly include generic type arguments, qualified names, array specifiers, nullable specifier and the like.

UnaryExpressionSyntax

Describes a unary operator: Plus, Negate, Not or AddressOf.

UsingBlockSyntax

Represents an entire Using...End Using statement, including the Using, body and End Using statements.

UsingStatementSyntax

The Using statement that begins a Using block. This statement always occurs as the Begin of a UsingBlock, and the body of the Using is the Body of that UsingBlock.

VariableDeclaratorSyntax

Represents the part of a variable or constant declaration statement that associated one or more variable names with a type.

VariableNameEqualsSyntax

Represents the name and optional type of an expression range variable.

WhereClauseSyntax

Represents a "Where" query operator.

WhileBlockSyntax

Represents a While...End While statement, including the While, body and End While.

WhileOrUntilClauseSyntax

Represents a "While expression" or "Until expression" in a Do or Loop statement. The Kind of the clause can be "WhileClause" or "UntilClause" to indicate which kind of clause.

WhileStatementSyntax

The While statement that begins a While...End While block. This statement always occurs as the Begin of a WhileBlock.

WithBlockSyntax

Represents a With...End With block, include the With statement, the body of the block and the End With statement.

WithEventsEventContainerSyntax

Represents event container that refers to a WithEvents member.

WithEventsPropertyEventContainerSyntax

Represents event container that refers to a WithEvents member's property.

WithStatementSyntax

Represents a "With" statement. This statement always occurs as the BeginStatement of a WithBlock, and the body of the With is the Body of that WithBlock.

XmlAttributeSyntax

Represents an XML attribute in an XML literal expression.

XmlBracketedNameSyntax

Represents an XML name of the form <xml-name> appearing in source as part of an XML literal or member access expression or an XML namespace import clause.

XmlCDataSectionSyntax

Represents an XML CDATA section in an XML literal expression.

XmlCommentSyntax

Represents an XML comment of the form <!-- Comment --> appearing in an XML literal expression.

XmlCrefAttributeSyntax

A symbol referenced by a cref attribute (e.g. in a <see> or <seealso> documentation comment tag). For example, the M in <see cref="M" />.

XmlDeclarationOptionSyntax

Represents an XML document prologue option - version, encoding, standalone or whitespace in an XML literal expression.

XmlDeclarationSyntax

Represents the XML declaration prologue in an XML literal expression.

XmlDocumentSyntax

Represents an XML Document literal expression.

XmlElementEndTagSyntax

Represents the end tag of an XML element of the form </element>.

XmlElementStartTagSyntax

Represents the start tag of an XML element of the form <element>.

XmlElementSyntax

Represents an XML element with content in an XML literal expression.

XmlEmbeddedExpressionSyntax

Represents an embedded expression in an XML literal e.g. '<name><%= obj.Name =%></name>'.

XmlEmptyElementSyntax

Represents an empty XML element of the form <element />

XmlMemberAccessExpressionSyntax

Represents an XML member element access (node.<Element>), attribute access (node.@Attribute) or descendants access (node...<Descendant>). The Kind property determines which kind of access.

XmlNameAttributeSyntax

A param or type param symbol referenced by a name attribute (e.g. in a <param> or <typeparam> documentation comment tag). For example, the M in <param name="M" />.

XmlNamespaceImportsClauseSyntax

Defines a XML namespace for XML expressions.

XmlNameSyntax

Represents an XML name of the form 'name' or 'namespace:name' appearing in source as part of an XML literal or member access expression or an XML namespace import clause.

XmlNodeSyntax

This is the base class for all XML expression syntax nodes (XmlDocument and XmlElement).

XmlPrefixNameSyntax

Represents an XML name of the form 'name' appearing in GetXmlNamespace().

XmlPrefixSyntax

Represents an XML namespace prefix of the form 'prefix:' as in xml:ns="".

XmlProcessingInstructionSyntax

Represents an XML processing instruction of the form '<? XMLProcessingTarget XMLProcessingValue ?>'.

XmlStringSyntax

Represents a string of XML characters embedded as the content of an XML element.

XmlTextSyntax

Represents Xml text.

YieldStatementSyntax

Represent a Yield statement.

Enums

LiteralBase

The four possible number bases that a literal can be written in.

TypeCharacter

Represents one of the type characters or literal suffixes of VB. Used to describe a) the type character suffixes that can be placed on identifiers, and b) the suffixes that can be placed on integer literals.