다음을 통해 공유


stack::pop (STL/CLR)

마지막 요소를 제거 합니다.

    void pop();

설명

멤버 함수는 비어 있어야 제어 된 시퀀스의 마지막 요소를 제거 합니다.스택의 한 요소를 맨 뒤 줄이기 위해 사용 합니다.

예제

// cliext_stack_pop.cpp 
// compile with: /clr 
#include <cliext/stack> 
 
typedef cliext::stack<wchar_t> Mystack; 
int main() 
    { 
    Mystack c1; 
    c1.push(L'a'); 
    c1.push(L'b'); 
    c1.push(L'c'); 
 
// display contents " a b c" 
    for each (wchar_t elem in c1.get_container()) 
        System::Console::Write(" {0}", elem); 
    System::Console::WriteLine(); 
 
// pop an element and redisplay 
    c1.pop(); 
    for each (wchar_t elem in c1.get_container()) 
        System::Console::Write(" {0}", elem); 
    System::Console::WriteLine(); 
    return (0); 
    } 
 
  

요구 사항

헤더: < cliext/스택 >

네임 스페이스: cliext

참고 항목

참조

stack (STL/CLR)

stack::push (STL/CLR)