Поделиться через


Класс is_signed

Проверяет, является ли тип целочисленным типом со знаком.

Синтаксис

template <class Ty>
struct is_signed;

Параметры

Ty
Запрашиваемый тип.

Замечания

Экземпляр предиката типа имеет значение true, если тип Ty является подписанным целочисленным типом или подписанным целочисленным типом cv-qualified , в противном случае он содержит значение false.

Пример

// std__type_traits__is_signed.cpp
// compile with: /EHsc
#include <type_traits>
#include <iostream>

struct trivial
    {
    int val;
    };

int main()
    {
    std::cout << "is_signed<trivial> == " << std::boolalpha
        << std::is_signed<trivial>::value << std::endl;
    std::cout << "is_signed<int> == " << std::boolalpha
        << std::is_signed<int>::value << std::endl;
    std::cout << "is_signed<unsigned int> == " << std::boolalpha
        << std::is_signed<unsigned int>::value << std::endl;
    std::cout << "is_signed<float> == " << std::boolalpha
        << std::is_signed<float>::value << std::endl;

    return (0);
    }
is_signed<trivial> == false
is_signed<int> == true
is_signed<unsigned int> == false
is_signed<float> == true

Требования

Заголовок:<type_traits>

Пространство имен: std

См. также

<type_traits>
Класс is_unsigned