Compartilhar via


Stack::pop (STL/CLR)

Remove o último elemento.

    void pop();

Comentários

A função de membro remove o último elemento da seqüência controlada, que deve ser não vazio.Você pode usá-lo para reduzir a pilha por um elemento na parte traseira.

Exemplo

// cliext_stack_pop.cpp 
// compile with: /clr 
#include <cliext/stack> 
 
typedef cliext::stack<wchar_t> Mystack; 
int main() 
    { 
    Mystack c1; 
    c1.push(L'a'); 
    c1.push(L'b'); 
    c1.push(L'c'); 
 
// display contents " a b c" 
    for each (wchar_t elem in c1.get_container()) 
        System::Console::Write(" {0}", elem); 
    System::Console::WriteLine(); 
 
// pop an element and redisplay 
    c1.pop(); 
    for each (wchar_t elem in c1.get_container()) 
        System::Console::Write(" {0}", elem); 
    System::Console::WriteLine(); 
    return (0); 
    } 
 
  

Requisitos

Cabeçalho: < cliext/pilha >

Namespace: cliext

Consulte também

Referência

pilha (STL/CLR)

Stack::push (STL/CLR)